Цифровые компараторы

  • Вид работы:
    Контрольная работа
  • Предмет:
    Информатика, ВТ, телекоммуникации
  • Язык:
    Русский
    ,
    Формат файла:
    MS Word
    55,75 Кб
  • Опубликовано:
    2014-04-15
Вы можете узнать стоимость помощи в написании студенческой работы.
Помощь в написании работы, которую точно примут!

Цифровые компараторы

Введение

компаратор устройство логический сигнал

Компаратор - устройство, предназначенное для сравнения двух сигналов. Он осуществляет переключение уровня выходного напряжения, если один из сигналов больше другого.

Название компаратора произошло от латинского compare - сравнивать. На этом принципе работают приборы, в которых измерение производится методом сравнения с эталоном. Например, равноплечие весы или потенциометры электроизмерительные.

На выходе компараторов, как правило, имеется выходной транзистор с открытым коллектором и эмиттером. Поэтому его можно подключить либо по схеме с ОЭ, либо эмиттерным повторителем, в зависимости от требований конкретной схемы.

Основная функция компаратора - это сравнение двух напряжений, одно из которых образцовое или опорное, а другое собственно измеряемое.

.       
Цифровой компаратор

 

Цифровой компаратор - комбинационное логическое устройство, предназначенное для сравнения чисел, представленных в виде двоичных кодов.

Число входов компаратора определяется разрядностью сравниваемых кодов. На выходе компаратора обычно формируется три сигнала:

1.       F= - равенство кодов.

2.       F> - если числовой эквивалент первого кода больше второго.

.        F< - если числовой эквивалент первого кода меньше второго.

Компараторы используются в центральных процессорах и микроконтроллерах. Примерами цифровых компараторов являются КМОП - 4063 и 4585, ТТЛ - 7485 и 74682-89.

Аналоговым эквивалентом цифрового компаратора является компаратор напряжений. Некоторые микроконтроллеры имеют аналоговые компараторы на некоторых своих входах, которые могут быть считаны или включать прерывание. [7]

Цифровые компараторы сравнивают значения двух чисел и вырабатывают единичный сигнал на одном из трех выходов (больше, равно, меньше), в зависимости от соотношения между этими числами. Выходы этих элементов подключены к элементу И ИЛИ-НЕ. Сигналы с трех логических элементов формируют выходные сигналы компаратора. Микросхема 564ИП2 позволяет сравнивать два четырехразрядных двоичных числа и имеет расширяющие входы, с помощью которых можно увеличивать разрядность компараторов.

Цифровые компараторы так же относятся к арифметическим устройствам. В зависимости от схемного исполнения, компараторы могут определять равенство АВ (А и В - независимые числа с равным количеством разрядов) либо вид неравенства: АВ или АВ. Результат сравнение отображается соответствующим логическим уровнем на выходе. Микросхемы - цифровые компараторы - выполняют, как правило, все эти операции и имеют три выхода.

Цифровые компараторы широко применяются для выявления нужного числа (слова) в потоке цифровой информации, для отметки времени в часовых приборах, для выполнения условных переходов в вычислительных устройствах.

Цифровые компараторы позволяют в совокупности с мультипликаторами или демультиплексорами осуществлять условные логические операции: проверку арифметических условий реализует компаратор, а их исполнение -мультиплексор или демультиплексор.

Цифровой компаратор непрерывно связывает текущий код счетчика таймера с кодом, который записан в 16-разрядном регистре выходного сравнения. В момент равенства кодов на одном из выходов МК устанавливается заданный уровень логического сигнала.

Устройство сравнения (цифровой компаратор) предназначено для сравнения двух многоразрядных двоичных чисел. В простейшем случае требуется лишь установить факт равенства чисел А и В. Такая задача возникает, например, при сравнении постоянного числа Л с числом.

Выпускаются ИС, содержащие цифровой компаратор и дешифратор, который включается только при равенстве кодов, подаваемых на компаратор, или компаратор и некоторые схемы, вырабатывающие дополнительные управляющие сигналы.

Сигналы, образующиеся на выходах цифровых компараторов при каждом совпадении анализируемого слова состояния с ожидаемым (в процессе анализа это многократно повторяется), вводится в ЗУ числа повторов и схему захвата 1-го состояния. Число записываемых слов состояния фиксируется в ЗУ числа состояний. Так как слова следуют с определенным временным шагом, то подсчет числа состояний равнозначен измерению интервала времени между запоминаемыми состояниями. Это позволяет измерить время исполнения циклов или обслуживания прерываний. Схемная реализация EUB возможна на цифровых компараторах в комбинации с ключами и ЛЗ; на мультиплексорах в комбинации с цифровыми компараторами и ЛЗ. Так продолжается до тех пор, пока цифровой компаратор не обнаружит равенство кода А, снимаемого со счетчика, и кода В, задающего длительность временного интервала. С выхода преобразователя, преобразованное в дискретную форму значение контролируемого параметра подается на цифровой компаратор для сравнения его с номинальным значением, заданным программой и допустимыми отклонениями его от номинального значения. Если отклонение ,контролируемого параметра от номинального значения больше допустимого, то схема вырабатывает сигнал, поступающий на БНФ для фиксации адреса обнаруженной неисправности. [4]

Параметры компараторов

Параметры, характеризующие качество компараторов, можно разделить на три группы: точностные, динамические и эксплуатационные.

Основным динамическим параметром компаратора является время переключения. Это промежуток времени от начала сравнения до момента, когда выходное напряжение компаратора достигает противоположного логического уровня. Время переключения замеряется при постоянном опорном напряжении, подаваемом на один из входов компаратора и скачке входного напряжения, подаваемого на другой вход. Это время зависит от величины превышения над опорным напряжением. В справочниках обычно приводится время переключения для значения дифференциального напряжения, равного 5 мВ после скачка. [9]

Ошибки, допускаемые компараторами

Основными факторами, обусловливающими случайную составляющую ошибки, являются дрейф нуля и шумы схемы. Под дрейфом понимается медленное смещение статической характеристики вдоль оси , например из-за изменения температуры. Шумы связываются со смещением характеристики, вызванным флуктуациями параметров элементов схемы.

Дрейф сдвигает характеристику, а наличие шумов делает момент срабатывания компаратора неопределенным в пределах зоны

2.       Виды цифровых компараторов

Компаратор для сравнения разнополярных сигналов

Компаратор для сравнения однополярных сигналов

Компаратор для сравнения однополярных напряжений с гистерезисной характеристикой. В рассмотренных компараторах могут быть получены характеристики с гистерезисными свойствами. Введение гистерезиса в работу компаратора несколько снижает точность сравнения, однако делает его невосприимчивым к шумам и помехам. Гистерезис достигается включением более высокого опорного напряжения, когда напряжение изменяется от низкого к высокому уровню по сравнению со значением, используемым, когда напряжение изменяется от высокого к низкому уровню. При этом высокое значение опорного напряжения называется верхним порогом срабатывания, а низкое - нижним порогом срабатывания . Это достигается путем введения положительной обратной связи.

Многоразрядные компараторы

Рассмотрим в качестве примера четырехразрядный цифровой компаратор серии К555СП1, восемь входов которого служат для подключения двух четырехразрядных слов: А0 ... А3, В0 ... B3, подлежащих сравнению. Управляющие входыI(А> В),(А = В) и I(А < В) могут быть использованы для наращивания разрядности компаратора. Предусмотрены три выхода результата сравнения: А> В, А = В и А<В.

Таблица истинности такого компаратора (табл. 1) разбита по строкам на три раздела.

Первый раздел (восемь верхних строк таблицы) определяет тот случай работы компаратора, когда подлежащие сравнению четырехразрядные слова не равны друг другу. При этом сигналы на входах наращивания разрядности как реакция на сигналы более младших разрядов сравниваемых слов никакого влияния на результат сравнения не оказывают.

Рис. 1. Условное графическое изображение компаратора типа СП1

Три строки второго раздела этой таблицы характеризуют работу компаратора с последовательным способом наращивания разрядности, т.е. когда выходы компаратора младших разрядов подключены к управляющим входам компаратора старших разрядов.[5]

Одноразрядный компаратор имеет два входа на которые одновременно поступают одноразрядные двоичные числа x1 и x2, и три выхода (=, >, <). Из таблицы истинности логические уравнения компаратора при сравнении x1 с x2 получаются в виде


Реализация такого компаратора в базисе И-НЕ приводит к следующему рисунку (рис. 2):

Рисунок 2. Одноразрядный компаратор двоичных чисел.

Таблица 1. Таблица истинности четырехразрядного компаратора типа СП1

3.      
Строение цифрового компаратора

В микросхеме компараторов имеются расширенные коды, к-е позволяют наращивать разрядность сравниваемых чисел путем каскадного и парал-го соединений. (Рис. 3) [1]

Таблица 2.

входы

выход

Ai Bi

yi

 0 0

1

 0 1

0

 1 0

 1 1

1


Рисунок 3. Схема компаратора на логических элементах

Компаратор сравнивает два напряжения и указывает большее из них. Если напряжение на А больше, чем на В, на UВЫХ вырабатывается логическая единица. Если напряжение на В больше, то на UВЫХ вырабатывается логический ноль. Стабилитрон в схеме компаратора используется для фиксации входного напряжения на уровнях, совместимых с логическими уровнями ТТЛ - микросхемами. (Рис. 4)

Рисунок 4 - Результаты с анализатора.

Заключение

Компараторы бывают оптическими, электрическими, пневматическими и др. Они могут применяться для проверки линейных мер, измерения напряженности электромагнитного поля излучателей. В картографических работах могут применяться стереокомпараторы, в астрономии (для сравнения спектров и астрографий) спектрокомпараторы и блинк-компараторы.

Список использованной литературы

1. http://vunivere.ru/work10366

2. http://www.ngpedia.ru/id97769p1.html

3. http://my-system.ru/cyfrovaya-shemotehnika/page,2,120-cifrovij-komparator-funkcionalna-sxema.html

4. http://radioelpribori.ru/tsifrovyie-komparatoryi.html

5. http://www.gaw.ru/html.cgi/txt/doc/comparator/comp_1.htm

Кукуш В.Д. Электрорадиозмерения. - М.: Радио и связь, 1985

Горлач А.А., Минц М.Я., Чинков В.Н. Цифровая обработка сигналов в измерительной технике. - Киев:Техника, 1985.

Основы метрологии и электрические измерения: Учеб. Для вузов/ Под ред. Е.М. Душина. - Л.: Энергоатомиздат, 1987.

Похожие работы на - Цифровые компараторы

 

Не нашли материал для своей работы?
Поможем написать уникальную работу
Без плагиата!